GOF V10.14 New

Jul 11 2024 release info

GOF Integrated Platform

GOF ECO: Functional ECO Tool
  • Automatic functional ECO
  • RTL guided functional ECO
  • RTL Patch ECO without resynthesis
  • Metal only ECO with spare gates
GOF LEC: Logic Equivalence Checking Tool
  • RTL to netlist LEC
  • Netlist to netlist LEC
  • SVF file support
  • Fully parallel processing
GOF Debug: Netlist Debug and Schematic
  • Incremental schematic
  • Logic Non-equivalence debug
  • Fast key to trace clock tree
  • GUI ECO on the fly

Reference netlist ECO Flow

Click to see more


Complete ECO Solutions

Click to see more


RTL Guided Functional ECO Flow

Click to see more



Follow us:
© 2024 NanDigits Design Automation. All rights reserved.