GOF ECO: Leading the Way in Combinational Logic ECO

In the world of RTL (Register Transfer Level) design, combinational signals are frequently optimized after synthesis, making it a daunting task to manually locate the precise ECO (Engineering Change Order) points. To tackle this challenge, advanced Logic ECO tools are utilized. These tools leverage comprehensive algorithms to accurately identify and measure the size of the ECO patch, a crucial factor in the success of ECO, especially in post-mask metal-only ECO scenarios where resources are scarce and timing closure becomes exceedingly difficult with the involvement of too many spare cells.

Challenges with Competitor Tools

One of the well-known tools in this domain, Conformal ECO, faces significant challenges in handling complicated combinational logic ECOs.

Conformal ECO's limitations are evident in two key areas:

To illustrate, consider a scenario (depicted in Figure 1) involving a single combinational signal within a large combination logic cone that has been modified. The task for the ECO tool here is to locate the exact position in the Implementation Netlist and replace the original logic with the new logic from the Reference Netlist.

Figure 1: Single error, red spot is the failing logic

For example, the following Verilog pseudo-code showcases a situation where an if-condition in a large state machine is altered by adding a new combinational signal:

always @(*) begin
   case(current_state[7:0])
     IDLE: begin
             if(comb_sig0) next_state = START;
             else next_state = IDLE;
           end
     START: begin
             if(comb_sig1&comb_sig2|!comb_sig3) next_state = STATE1;
             else if(comb_sig4&comb_sig5&!comb_sig6|eco_comb_sig) next_state = STATE2;
             else if(comb_sig7|comb_sig8&!comb_sig9) next_state = STATE3;
             ...
end
assign eco_comb_sig = pcie_mode && beacon_status; // New combinational signal

It is highly probable that Conformal ECO is unable to accurately locate the exact location to fix the combination signal change, or it may find the location but use an excessive number of gates to fix the logic, thereby affecting the surrounding logic unnecessarily. Many users have encountered these limitations with Conformal ECO.

Figure 2: Conformal ECO result, dark green spots are the redundant fixes

GOF ECO's Superior Performance

In stark contrast, GOF ECO excels in accurately identifying the precise ECO location and generating an optimal ECO patch. GOF ECO's advanced algorithms enable it to pinpoint the exact location of the required fix with exceptional precision, ensuring that the resulting ECO patch is both minimal and efficient. This accuracy significantly reduces the impact on surrounding logic, as demonstrated in Figure 3, where only the necessary red spot is fixed, highlighting GOF ECO's efficiency and precision.

Figure 3: GOF result, only the red spot is fixed

Conclusion

GOF ECO's ability to deliver precise and optimal ECO patches gives it a distinct advantage over competitors like Conformal ECO, particularly in managing complex combinational logic ECOs. By ensuring minimal disruption to surrounding logic and avoiding redundant fixes, GOF ECO not only enhances the efficiency of the ECO process but also contributes to the overall robustness and reliability of the final design. This makes GOF ECO an indispensable tool for engineers seeking accurate and efficient solutions for their combinational logic ECO needs.


Follow us:
© 2024 NanDigits Design Automation. All rights reserved.